Untitled Document
 
Untitled Document
 
ºñ¹Ð¹øÈ£ È®ÀÎ ´Ý±â
 
IC > ·ÎÁ÷ IC > 74LS(DIP) > 74LS194 (DIP)
ÃÖ¼ÒÁÖ¹®¼ö·® : 100°³
74LS194 (DIP)
ÃÖ¼ÒÁÖ¹®¼ö·® : 100°³
Á¦Á¶È¸»ç : ANY
ÆǸŰ¡°Ý : 250¿ø
Àû¸³±Ý¾× : 0¿ø
¼ö·®  : °³
¡Ú¡Ú¡Ú¡Ú¡Ú
½Å¼ÓÇÏ°í Á¤È®ÇÑ ¹è¼ÛÀ» ¾à¼Óµå¸³´Ï´Ù
  
 

4-Bit Bidirectional Universal Shift Register

General Description
This bidirectional shift register is designed to incorporate
virtually all of the features a system designer may want in a
shift register; they feature parallel inputs, parallel outputs,
right-shift and left-shift serial inputs, operating-mode-control
inputs, and a direct overriding clear line. The register
has four distinct modes of operation, namely:
Parallel (broadside) load
Shift right (in the direction QA toward QD)
Shift left (in the direction QD toward QA)
Inhibit clock (do nothing)
Synchronous parallel loading is accomplished by applying
the four bits of data and taking both mode control inputs,
S0 and S1, HIGH. The data is loaded into the associated
flip-flops and appear at the outputs after the positive transition
of the clock input. During loading, serial data flow is
inhibited.
Shift right is accomplished synchronously with the rising
edge of the clock pulse when S0 is HIGH and S1 is LOW.
Serial data for this mode is entered at the shift-right data
input. When S0 is LOW and S1 is HIGH, data shifts left
synchronously and new data is entered at the shift-left
serial input.
Clocking of the flip-flop is inhibited when both mode control
inputs are LOW.

Features
 Parallel inputs and outputs
 Four operating modes:
 - Synchronous parallel load
 - Right shift
 - Left shift
 - Do nothing
 Positive edge-triggered clocking
 Direct overriding clear


µ¥ÀÌÅͽÃÆ® º¸±â


* º» Á¦Ç°Àº °æ¿ì¿¡ µû¶ó ȣȯµÇ´Â Å¸»ç Á¦Ç°À¸·Î º¯°æµÉ ¼ö ÀÖÀ½À» ¾Ë·Á µå¸³´Ï´Ù.

  ÁÖ¹®½Ã Âü°í Çϼ¼¿ä~~
* Á¦Ç° »ç¿ë Àü ¹Ýµå½Ã Å×½ºÆ® ÈÄ »ç¿ëÇϽñ⠹ٶø´Ï´Ù.
* Ã·ºÎµÈ µ¥ÀÌÅͽÃÆ®´Â Âü°í¿ëÀ¸·Î¸¸ »ç¿ëÇϽñ⠹ٶø´Ï´Ù.

   
À̸§ :
³»¿ë :
ÆòÁ¡
 
 
 
 
¹øÈ£ Á¦¸ñ ÀÛ¼ºÀÚ ÀÛ¼ºÀÏ Á¶È¸
 
 

Untitled Document